VHDL: mudanças entre as edições

De Pontão Nós Digitais
Ir para navegaçãoIr para pesquisar
Sem resumo de edição
Linha 25: Linha 25:
== External links ==
== External links ==


{{Commons category}}
{{wikibooks|Programmable Logic|VHDL}}
* [http://cseweb.ucsd.edu/classes/sp13/cse140-a/ CSE140: Components and Design Techniques for Digital Systems].
* [http://cseweb.ucsd.edu/classes/sp13/cse140-a/ CSE140: Components and Design Techniques for Digital Systems].



Edição das 09h55min de 19 de junho de 2013

VHDL (VHSIC Hardware Description Language) is a hardware description language used in electronic design automation to describe digital and mixed-signal systems such as field-programmable gate arrays and integrated circuits. VHDL can also be used as a general purpose parallel programming language.

História

Vantagens

Desvantagens

Altera

<source lang="VHDL"> </source>

Padrões de Projeto

References

<references> </references>

Further reading

  • "Digital Design: With RTL, VHDL, and Verilog" (2nd ed, Wiley, 2010); Plus "VHDL for Digital Design" / "Verilog for Digital Design" (Wiley 2007)

External links