VHDL: mudanças entre as edições

De Pontão Nós Digitais
Ir para navegaçãoIr para pesquisar
Sem resumo de edição
Sem resumo de edição
Linha 1: Linha 1:
'''VHDL''' ('''[[VHSIC]] Hardware Description Language''') is a [[hardware description language]] used in [[electronic design automation]] to describe [[digital electronics|digital]] and [[mixed-signal integrated circuit|mixed-signal]] systems such as [[field-programmable gate array]]s and [[integrated circuit]]s. VHDL can also be used as a general purpose parallel programming language.
'''VHDL'''
 
== História ==


== Vantagens ==
== Vantagens ==

Edição das 10h10min de 19 de junho de 2013

VHDL

Vantagens

Desvantagens

Altera

<source lang="VHDL"> </source>

Padrões de Projeto

Bons Livros (Recomendados)

  • "Digital Design: With RTL, VHDL, and Verilog" (2nd ed, Wiley, 2010); Plus "VHDL for Digital Design" / "Verilog for Digital Design" (Wiley 2007)

Links Uteis