VHDL: mudanças entre as edições

De Pontão Nós Digitais
Ir para navegaçãoIr para pesquisar
Sem resumo de edição
Sem resumo de edição
Linha 13: Linha 13:
=== Altera ===
=== Altera ===


<source lang="VHDL">
```VHDL
-- (this is a VHDL comment)
-- (this is a VHDL comment)


Linha 33: Linha 33:
   O <= I1 and I2;
   O <= I1 and I2;
end architecture RTL;
end architecture RTL;
</source>
```


=== Padrões de Projeto ===
=== Padrões de Projeto ===

Edição das 10h46min de 19 de junho de 2013

VHDL

Ferramentas

Uma boa ferramentas para se começar a sintetizar hardware em FPGA's como as da Altera, é o Quartus Web Edition https://www.altera.com/download/ é gratuíta e fácil de se instalar. É também portada para Linux/Unix e Windows, eu aconselho a versão 32 bits apesar de nunca ter tido problema com a versão 64 bits e até mesmo abrindo projetos 32 bits no Quartus 64 bits. Algumas funções que para quem trabalha realmente em projeção de hardware, não estão disponíveis no Quartus Web Edition, mas são coisas específicas que talvéz nem faça falta para a abordagem do pessoal do LabMacambira. No entanto, comprando uma placa da Altera, tipo Cyclone II acredito que o software Quartus Edição Completa, acompanha o pacote. E uma placa dessas custa em torno de 500 dólares. Existem maneiras de se comprar pela Universidade e reduzir esse preço. De qualquer maneira Universidades geralmente tem um conjunto de placas FPGA's em laboratórios de sínteze de hardware. Outro Software é o ModelSim Altera, que também é gratuíto pelo mesmo link, e de extrema importância na sinteze de hardware. Uma vez que não tem como um hardware mais complexo, sintetizado em alto nível passar sem antes for executada uma bateria de testes pesada sobre ele para que ele realmente de todos os erros para que sejam corrigidos após Testes e Validações.

Outra ferramenta que eu recomendo fortemente é o Sigasi http://www.sigasi.com/, um plugin para Eclipse. Na verdade é uma ferramenta poderosa para descrever em VHDL. Ela é gratuíta para estudantes universitários, basta se cadastrar colar o link na sessão de updates de plugins no Eclipse, instalar e programar em VHDL de uma maneira muito mais prática. Eu recomendo o Sigasi, pois VHDL é uma linguagem bastante propensa a erros de sintaxe.

Vantagens

Desvantagens

Altera

```VHDL -- (this is a VHDL comment)

-- import std_logic from the IEEE library library IEEE; use IEEE.std_logic_1164.all;

-- this is the entity entity ANDGATE is

 port ( 
   I1 : in std_logic;
   I2 : in std_logic;
   O  : out std_logic);

end entity ANDGATE;

-- this is the architecture architecture RTL of ANDGATE is begin

 O <= I1 and I2;

end architecture RTL; ```

Padrões de Projeto

  1. Sumário
  1. Introdução
  1. Projeto do Sistema
    1. IdentiVcação das Entradas e Saídas
    2. Descrição e Captura do Comportamento
    3. Projeto do Bloco Operativo
    4. Projeto do Bloco de Controle
    5. Projeto da Unid. Cálculo da Convolução
  1. Desenvolvimento
    1. Desenvolvimento do Bloco Operativo
    2. Multiplexador 2x1 (MUX)
    3. Registrador (...)
    4. Multiplicador Inteiro (Mult Int)
    5. Desenvolvimento do Bloco Controle
    6. Desenvolvimento da Unid. Cálculo da Convolução
    7. Operadores SobelGxeGy
    8. Armazenamento como RAM
  1. Testes e Validação
    1. Validação do Bloco Operativo
    2. Multiplexador 2x1 (MUX)
    3. Registrador (...)
    4. Somador Inteiro (Adder Int)
    5. Subtrator Inteiro (Subtr Int)
    6. Multiplicador Inteiro (Mult Int)
    7. Validação do Bloco Controle
    8. Validação da Unid. Cálculo da Convolução
  1. Conclusões


Bons Livros (Recomendados)

  • "Digital Design: With RTL, VHDL, and Verilog" (2nd ed, Wiley, 2010); Plus "VHDL for Digital Design" / "Verilog for Digital Design" (Wiley 2007)

Links Uteis