VHDL: mudanças entre as edições

De Pontão Nós Digitais
Ir para navegaçãoIr para pesquisar
Sem resumo de edição
Linha 13: Linha 13:


=== Padrões de Projeto ===
=== Padrões de Projeto ===
== References ==
<references>
</references>


== Bons Livros (Recomendados) ==
== Bons Livros (Recomendados) ==

Edição das 10h01min de 19 de junho de 2013

VHDL (VHSIC Hardware Description Language) is a hardware description language used in electronic design automation to describe digital and mixed-signal systems such as field-programmable gate arrays and integrated circuits. VHDL can also be used as a general purpose parallel programming language.

História

Vantagens

Desvantagens

Altera

<source lang="VHDL"> </source>

Padrões de Projeto

Bons Livros (Recomendados)

  • "Digital Design: With RTL, VHDL, and Verilog" (2nd ed, Wiley, 2010); Plus "VHDL for Digital Design" / "Verilog for Digital Design" (Wiley 2007)

Links Uteis