VHDL: mudanças entre as edições

De Pontão Nós Digitais
Ir para navegaçãoIr para pesquisar
Sem resumo de edição
Sem resumo de edição
Linha 18: Linha 18:
=== Padrões de Projeto ===
=== Padrões de Projeto ===


Sumário
# Sumário
Introdução
 
Projeto do Sistema
# Introdução
IdentiVcação das Entradas e Saídas
 
Descrição e Captura do Comportamento
# Projeto do Sistema
Projeto do Bloco Operativo
## IdentiVcação das Entradas e Saídas
Projeto do Bloco de Controle
## Descrição e Captura do Comportamento
Projeto da Unid. Cálculo da Convolução
## Projeto do Bloco Operativo
Desenvolvimento
## Projeto do Bloco de Controle
Desenvolvimento do Bloco Operativo
## Projeto da Unid. Cálculo da Convolução
Multiplexador 2x1 (MUX)
 
Registrador (...)
# Desenvolvimento
Multiplicador Inteiro (Mult Int)
## Desenvolvimento do Bloco Operativo
Desenvolvimento do Bloco Controle
## Multiplexador 2x1 (MUX)
Desenvolvimento da Unid. Cálculo da Convolução
## Registrador (...)
Operadores SobelGxeGy
## Multiplicador Inteiro (Mult Int)
Armazenamento como RAM
## Desenvolvimento do Bloco Controle
Testes e Validação
## Desenvolvimento da Unid. Cálculo da Convolução
Validação do Bloco Operativo
## Operadores SobelGxeGy
Multiplexador 2x1 (MUX)
## Armazenamento como RAM
Registrador (...)
 
Somador Inteiro (Adder Int)
# Testes e Validação
Subtrator Inteiro (Subtr Int)
## Validação do Bloco Operativo
Multiplicador Inteiro (Mult Int)
## Multiplexador 2x1 (MUX)
Validação do Bloco Controle
## Registrador (...)
Validação da Unid. Cálculo da Convolução
## Somador Inteiro (Adder Int)
Conclusões
## Subtrator Inteiro (Subtr Int)
## Multiplicador Inteiro (Mult Int)
## Validação do Bloco Controle
## Validação da Unid. Cálculo da Convolução
 
# Conclusões





Edição das 10h42min de 19 de junho de 2013

VHDL

Ferramentas

Uma boa ferramentas para se começar a sintetizar hardware em FPGA's como as da Altera, é o Quartus Web Edition https://www.altera.com/download/ é gratuíta e fácil de se instalar. É também portada para Linux/Unix e Windows, eu aconselho a versão 32 bits apesar de nunca ter tido problema com a versão 64 bits e até mesmo abrindo projetos 32 bits no Quartus 64 bits. Algumas funções que para quem trabalha realmente em projeção de hardware, não estão disponíveis no Quartus Web Edition, mas são coisas específicas que talvéz nem faça falta para a abordagem do pessoal do LabMacambira. No entanto, comprando uma placa da Altera, tipo Cyclone II acredito que o software Quartus Edição Completa, acompanha o pacote. E uma placa dessas custa em torno de 500 dólares. Existem maneiras de se comprar pela Universidade e reduzir esse preço. De qualquer maneira Universidades geralmente tem um conjunto de placas FPGA's em laboratórios de sínteze de hardware. Outro Software é o ModelSim Altera, que também é gratuíto pelo mesmo link, e de extrema importância na sinteze de hardware. Uma vez que não tem como um hardware mais complexo, sintetizado em alto nível passar sem antes for executada uma bateria de testes pesada sobre ele para que ele realmente de todos os erros para que sejam corrigidos após Testes e Validações.

Outra ferramenta que eu recomendo fortemente é o Sigasi http://www.sigasi.com/, um plugin para Eclipse. Na verdade é uma ferramenta poderosa para descrever em VHDL. Ela é gratuíta para estudantes universitários, basta se cadastrar colar o link na sessão de updates de plugins no Eclipse, instalar e programar em VHDL de uma maneira muito mais prática. Eu recomendo o Sigasi, pois VHDL é uma linguagem bastante propensa a erros de sintaxe.

Vantagens

Desvantagens

Altera

<source lang="VHDL"> </source>

Padrões de Projeto

  1. Sumário
  1. Introdução
  1. Projeto do Sistema
    1. IdentiVcação das Entradas e Saídas
    2. Descrição e Captura do Comportamento
    3. Projeto do Bloco Operativo
    4. Projeto do Bloco de Controle
    5. Projeto da Unid. Cálculo da Convolução
  1. Desenvolvimento
    1. Desenvolvimento do Bloco Operativo
    2. Multiplexador 2x1 (MUX)
    3. Registrador (...)
    4. Multiplicador Inteiro (Mult Int)
    5. Desenvolvimento do Bloco Controle
    6. Desenvolvimento da Unid. Cálculo da Convolução
    7. Operadores SobelGxeGy
    8. Armazenamento como RAM
  1. Testes e Validação
    1. Validação do Bloco Operativo
    2. Multiplexador 2x1 (MUX)
    3. Registrador (...)
    4. Somador Inteiro (Adder Int)
    5. Subtrator Inteiro (Subtr Int)
    6. Multiplicador Inteiro (Mult Int)
    7. Validação do Bloco Controle
    8. Validação da Unid. Cálculo da Convolução
  1. Conclusões


Bons Livros (Recomendados)

  • "Digital Design: With RTL, VHDL, and Verilog" (2nd ed, Wiley, 2010); Plus "VHDL for Digital Design" / "Verilog for Digital Design" (Wiley 2007)

Links Uteis